導航:首頁 > 編程語言 > vhdl設計一個依次燈亮流水燈程序

vhdl設計一個依次燈亮流水燈程序

發布時間:2024-04-05 02:10:33

❶ 用匯編語言編流水燈,有8個LED燈,LED1~LED8 燈按順序點亮,每個等亮一秒轉到下個燈,一直循環亮

這個流水燈是很普通的一種花樣,假設LED接P1口,程序如下:
ORG 0000H
LJMP MAIN
0RG 000BH
LJMP T0ISR
ORG 0030H
MAIN:
MOV TMOD,#01H

MOV TH0,#HIGH(65536-50000) ;50毫秒定時

MOV TL0,#LOW(65536-50000)

SETB TR0

SETB ET0

SETB EA

MOV A,#0FEH
MOV P1,A

MOV R2,#20
SJMP $

T0ISR:
CLR TR0

MOV TH0,#HIGH(65536-50000)

MOV TL0,#LOW(65536-50000)

SETB TR0

DJNZ R2,T0E ;1秒未到直接退出

MOV R2,#20

RL A

MOV P1,A ;1秒一變的花樣流水燈。

T0E:
RETI

END

閱讀全文

與vhdl設計一個依次燈亮流水燈程序相關的資料

熱點內容
如何將文件夾中圖片導入表格中 瀏覽:171
日本appleid共享2016 瀏覽:806
台州海博網路技術有限公司 瀏覽:909
好學邦app在哪裡 瀏覽:162
sql查詢管理員密碼 瀏覽:208
win8win10修復system 瀏覽:374
win10電腦查打開過的文件 瀏覽:566
實體店升級手機內存 瀏覽:305
linux映射機制 瀏覽:15
車載地圖打開沒有配置文件 瀏覽:858
5g和數據碼哪個重要 瀏覽:641
藍牙配對成功後如何傳送文件 瀏覽:300
什麼是窗口數據 瀏覽:382
哪個讀書app好一些 瀏覽:527
iOS14屏蔽更新配置文件 瀏覽:792
javafx做界面案例 瀏覽:775
excel如何轉dbase文件 瀏覽:702
手機3d文件打開 瀏覽:225
無印良品書包在哪個APP買 瀏覽:140
大數據建模服務模型 瀏覽:841

友情鏈接